summaryrefslogtreecommitdiff
path: root/inc/StepShape_ManifoldSolidBrep.hxx
blob: 469492a28c6e4992e0accd0bdfd943b7c198d575 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
// This file is generated by WOK (CPPExt).
// Please do not edit this file; modify original file instead.
// The copyright and license terms as defined for the original file apply to 
// this header file considered to be the "object code" form of the original source.

#ifndef _StepShape_ManifoldSolidBrep_HeaderFile
#define _StepShape_ManifoldSolidBrep_HeaderFile

#ifndef _Standard_HeaderFile
#include <Standard.hxx>
#endif
#ifndef _Standard_DefineHandle_HeaderFile
#include <Standard_DefineHandle.hxx>
#endif
#ifndef _Handle_StepShape_ManifoldSolidBrep_HeaderFile
#include <Handle_StepShape_ManifoldSolidBrep.hxx>
#endif

#ifndef _Handle_StepShape_ClosedShell_HeaderFile
#include <Handle_StepShape_ClosedShell.hxx>
#endif
#ifndef _StepShape_SolidModel_HeaderFile
#include <StepShape_SolidModel.hxx>
#endif
#ifndef _Handle_TCollection_HAsciiString_HeaderFile
#include <Handle_TCollection_HAsciiString.hxx>
#endif
class StepShape_ClosedShell;
class TCollection_HAsciiString;



class StepShape_ManifoldSolidBrep : public StepShape_SolidModel {

public:

  //! Returns a ManifoldSolidBrep <br>
  Standard_EXPORT   StepShape_ManifoldSolidBrep();
  
  Standard_EXPORT   virtual  void Init(const Handle(TCollection_HAsciiString)& aName) ;
  
  Standard_EXPORT   virtual  void Init(const Handle(TCollection_HAsciiString)& aName,const Handle(StepShape_ClosedShell)& aOuter) ;
  
  Standard_EXPORT     void SetOuter(const Handle(StepShape_ClosedShell)& aOuter) ;
  
  Standard_EXPORT     Handle_StepShape_ClosedShell Outer() const;




  DEFINE_STANDARD_RTTI(StepShape_ManifoldSolidBrep)

protected:




private: 


Handle_StepShape_ClosedShell outer;


};





// other Inline functions and methods (like "C++: function call" methods)


#endif