Tests that the encoder and counter modules give the expected results on a simple increasing quadrature input